본문 바로가기
반응형

공정14

파운드리 공정 비교. (2018.02.24.) - 공정 비교자료 업데이트입니다. (2018.02.24. 기준) 인텔 10nm를 추가했는데 GF나 TSMC 자료 못지 않게 앞뒤가 안 맞아서 적당히 조절했습니다. - 삼성 7nm EUV 공정이 10nm 공정 (10LPP) 대비 면적 -40% / 성능 +10% / 전력효율 +35% (링크 : https://news.samsung.com/kr/%ec%82%bc%ec%84%b1%ec%a0%84%ec%9e%90-%ed%80%84%ec%bb%b4%ea%b3%bc-7%eb%82%98%eb%85%b8-%ed%8c%8c%ec%9a%b4%eb%93%9c%eb%a6%ac-%ed%98%91%eb%a0%a5-%ed%99%95%eb%8c%80) - 인텔 TR 성능 14nm->10nm : 성능 +25% 10nm->10++ : 성.. 2018. 2. 24.
파운드리 공정 비교. (2017.11.29.) (update 2017.12.03.) - 공정 비교자료 업데이트입니다. (2017.11.29. 기준) 이전에는 삼성, TSMC만 다뤘는데 인텔, GF 자료도 포함할만한 수준이 되어서 범위가 늘어났습니다. 면적, 전력, 백엔드 피치, CELL 사이즈같은건 자료를 하나로 묶기 힘들어서 보류 중. - 삼성 파운드리 포럼에 자료가 대량으로 풀렸습니다. 대부분 텍스트로만 올라오고 슬라이드는 거의 없는데 중국쪽에는 그냥 다 올려버렸더군요. 그동안 의혹(이라고 하기도 뭐하지만... 10LPU = 8LPP 아니냐 같은거라서.)을 해소하는 로드맵. 그동안 크고 작게 언급됐던 공정들은 다 개별공정이었습니다. 7nm Arfi는 없습니다. Risk Production이 8LPP가 17년 말, 7LPP가 18년 중순입니다. 간단히 말해서 갤S9 AP 공정은 7LP.. 2017. 11. 29.
Intel Manufacturing Day: Nodes must die, but Moore's Law lives! Intel Manufacturing Day에서 나온 내용이라고 하는데 최근 업체간 공정 얘기를 이해하는데 도움이 될거 같아서 옮깁니다. (링크 : https://www.semiwiki.com/forum/content/6698-intel-manufacturing-day-nodes-must-die-but-moores-law-lives.html) 여기서는 일부 내용만 간단히 다루고 사족도 좀 들어갑니다. (인텔 입장에서 나오는 얘기일테니까요.) 전체 내용은 링크에서 확인하시면 됩니다. (게이트 폭/노드 비율) 본래 공정 '노드'는 TR(트랜지스터)에서 게이트 폭을 의미했습니다. 500nm까지는 그렇게 흘러왔으나 350nm부터 게이트 폭이 노드보다 더 작았으며 핀펫부터는 게이트 폭이 노드보다 더 커졌습니다. 이.. 2017. 4. 1.
삼성, TSMC 공정 비교. (2017.03.28.) - 공정 비교 자료 업데이트입니다. (2017.03.28.) - 삼성 이전 자료에서 DAC 2016 출처로 나왔던 그래프와 거의 같습니다만 ARM TechCon 2016 발표 그래프에서는 구체적인 수치가 추가되었습니다. (링크 : http://news.mynavi.jp/articles/2016/11/24/techcon2016_samsung/) 이걸 레퍼런스로 쓸 수 있을 것 같네요. 45LP -> 32nm HKMG : speed +30% (링크 : http://www.samsung.com/semiconductor/foundry/process-technology/32-28nm/) 45LP -> 32nm HKMG : speed +30% 28LP -> 28LPH : speed +20% (링크 : http://.. 2017. 3. 28.
삼성, TSMC 공정 비교. (2016.10.29.) - 공정 비교 자료 업데이트입니다. (2016.10.29.) - DAC2016 삼성 자료 DAC2016 발표로 보이는 삼성 자료가 추가됐습니다. 28FD-SOI가 추가되었으며 20LPE는 보이지 않습니다. 애초에 그렇게 공언했기도 했지만 20nm 공정이 징검다리 취급을 받고 있는게 맞는듯 합니다. - 45/40nm~28nm 성능 게인 포함하는 공정이 많아지면서 차트가 길어져서 중간에 잘랐습니다. GF는 자료는 많으나 시기에 따라 내용이 제각각이라 유의미한 결과로 보기 어려워 뺐습니다. 45LP, 40G는 자료가 적어서 안 맞을 수 있습니다. 45nm 이전 공정에 대한 자료가 없습니다. 각 공정에 대한 성능을 공개한지 얼마되지 않아서 그런듯 합니다. 28LPH 등 분명 존재하는 공정이나 차트에서 빠진건 자.. 2016. 10. 29.
7nm 파운드리는 EUV에서. 삼성이 말하다. - 삼성이 직접 밝히는 차기 공정에 대한 내용입니다. (링크 : http://techon.nikkeibp.co.jp/atcl/event/15/060100063/060200005/?bpnet&d=1465803445403&rt=nocnt&d=1465838719418) (7nm가 주인공이지만요. 일본 사이트 아니랄까봐 타이틀부터 손발이 오그라듭니다.) 링크는 회원가입해야 볼 수 있습니다. - 요약본 53회 DAC2016 (Design Automation Conferrence)에서 발표. 10LPE -> 10LPP 순 10LPE 2014년 PDK 공개. 2015년 디자인 플로우, 라이브러리, IP 정비. 2016년 Risk Production 시작. 10LPP 2016년 PDK 공개. 2016년 디자인 플로우,.. 2016. 6. 14.
삼성, TSMC 공정 비교. (2016.04.29) - 예전에 썼던 공정 비교 자료 업데이트입니다. (링크 : 삼성, TSMC 공정 비교. (2016.01.18)) - IYD 아이폰SE 쓰로틀링 테스트 결과 (링크 : http://iyd.kr/957) 테스트해보니 삼성제 A9 모델이 괜찮은 쓰로틀링 특성을 보였고, TSMC제 A9 모델 중에서도 쓰로틀링 특성이 안 좋은 결과가 나왔다는겁니다. 애플 A9의 쓰로틀링 차이의 원인은 공정 간 성능차이가 아닌 칩 간 편차라는겁니다. 이렇게되면 공정 성능에서 14LPE < 16FF 라는 결론은 틀어지게 됩니다. 최소한 14LPE = 16FF 로 수정되어야 합니다. 14LPE = 16FF로 가정하고 이전 자료를 전면 재검토할겁니다. - 추가 자료 GF 자료를 추가로 더 찾아봤습니다. 시기도 제각각이라서 어디까지 맞는.. 2016. 4. 30.
삼성, TSMC 공정 비교. (2016.01.18) - 애플 A9의 제조사가 삼성, TSMC로 이원화되면서 어느 쪽 성능이 더 좋은가로 논란이 있었습니다. 당시에 논란을 언급하면서 간단히 공정 성능을 비교했었는데, (링크 : 애플 A9 논란에 관하여. (삼성, TSMC 공정 비교.)) 최근 추가 자료가 나와서 업데이트 해볼까 합니다. - 기존 결과 기존 결과는 아래와 같았습니다. 사실 TSMC, 삼성의 공개치를 사용한 결과에 추정이 들어간 것이기때문에 실제와 맞는지는 미지수입니다. TSMC 정리. 삼성 정리. 종합 결과. - 최근 추가 정보 1. 화웨이 발표자료. (링크 : http://pc.watch.impress.co.jp/docs/news/event/20160106_737718.html) 화웨이의 기린950 발표 중 자료입니다. 전력 효율이라는 표현.. 2016. 1. 19.
Apple A5X 분석 (The new iPad) (2) 이전 포스트의 보충 포스팅입니다. (Apple A5X 분석 (The new iPad)) iFixit 에서 신형 아이패드 분해샷이 떠서, 그걸 토대로 A5X 다이사이즈를 좀 더 확실히 알 수 있게되었습니다. (http://www.ifixit.com/Teardown/iPad-3-4G-Teardown/8277/3) 맨 오른쪽 청록색 박스는 퀄컴 MDM9600 입니다. 베이스밴드칩을 인피니언 대신 퀄컴걸 사용한다더니, 정말이었네요. 스냅드래곤과 함께 쓰지 않으면 음성통화가 불가능이지만, 아이패드는 통화를 지원하지 않으니 별 상관없지요. (여담이지만 인피니언 모바일칩 사업부는 인텔이 인수한 상태. 덕분에 아톰은 인피니언 베이스밴드칩과 짝을 이룸.) 노란색 박스는 도시바의 16GB 낸드플래시. 제품에 따라 하이닉스.. 2012. 3. 17.
반도체 공정. (풀노드, 하프노드) - 시작하기 전에... 전에 TSMC 의 공정으로 포스팅을 한 적이 있는데, (TSMC 28nm 공정.) 이런 리플이 달렸습니다. 하아...... 사실 반도체 공정에 대한건 (블로그의 정체성을 생각하면) 한번쯤 다뤄야하는 내용인데, 솔직히 너무 어렵습니다. 수박 겉핥기로 설명되거나, 비전공자는 뭔 소린지도 모르는 얘기만 하다가 끝나거나, 둘 중 하나가 될 가능성이 높으니까요. 실리콘의 분자구조 얘기부터 들어가야하는데 그런걸 비전공자에게 이해시키는건 무리가 있지요. 제일 문제는 제가 잘 모른다는 것.;; 대학원 과정쯤 되어야 뭔가 현실과 접점이 보이지, 학부 수준에서는 이상적인 모델을 놓고해서 설명에 큰 도움이 안 됩니다. (제가 다니는 학교만 그런걸지도 모르겠네요. 서울대는 학부 과정에서 cpu 설계 배.. 2011. 11. 4.
반응형